# locations and IO standards for user input switches set_property PACKAGE_PIN R2 [get_ports {A}] set_property IOSTANDARD LVCMOS33 [get_ports {A}] set_property PACKAGE_PIN T1 [get_ports {B}] set_property IOSTANDARD LVCMOS33 [get_ports {B}] set_property PACKAGE_PIN U1 [get_ports {C}] set_property IOSTANDARD LVCMOS33 [get_ports {C}] set_property PACKAGE_PIN W2 [get_ports {D}] set_property IOSTANDARD LVCMOS33 [get_ports {D}] set_property PACKAGE_PIN R3 [get_ports {E}] set_property IOSTANDARD LVCMOS33 [get_ports {E}] set_property PACKAGE_PIN T2 [get_ports {F}] set_property IOSTANDARD LVCMOS33 [get_ports {F}] ###### set_property PACKAGE_PIN L1 [get_ports {DB_SEND_OUT}] set_property IOSTANDARD LVCMOS33 [get_ports {DB_SEND_OUT}] set_property PACKAGE_PIN P1 [get_ports {V}] set_property IOSTANDARD LVCMOS33 [get_ports {V}] set_property PACKAGE_PIN N3 [get_ports {W}] set_property IOSTANDARD LVCMOS33 [get_ports {W}] set_property PACKAGE_PIN P3 [get_ports {X}] set_property IOSTANDARD LVCMOS33 [get_ports {X}] set_property PACKAGE_PIN U3 [get_ports {Y}] set_property IOSTANDARD LVCMOS33 [get_ports {Y}] set_property PACKAGE_PIN W3 [get_ports {Z}] set_property IOSTANDARD LVCMOS33 [get_ports {Z}] #seven-segment LED display set_property PACKAGE_PIN W7 [get_ports {LED_out[6]}] set_property IOSTANDARD LVCMOS33 [get_ports {LED_out[6]}] set_property PACKAGE_PIN W6 [get_ports {LED_out[5]}] set_property IOSTANDARD LVCMOS33 [get_ports {LED_out[5]}] set_property PACKAGE_PIN U8 [get_ports {LED_out[4]}] set_property IOSTANDARD LVCMOS33 [get_ports {LED_out[4]}] set_property PACKAGE_PIN V8 [get_ports {LED_out[3]}] set_property IOSTANDARD LVCMOS33 [get_ports {LED_out[3]}] set_property PACKAGE_PIN U5 [get_ports {LED_out[2]}] set_property IOSTANDARD LVCMOS33 [get_ports {LED_out[2]}] set_property PACKAGE_PIN V5 [get_ports {LED_out[1]}] set_property IOSTANDARD LVCMOS33 [get_ports {LED_out[1]}] set_property PACKAGE_PIN U7 [get_ports {LED_out[0]}] set_property IOSTANDARD LVCMOS33 [get_ports {LED_out[0]}] set_property PACKAGE_PIN U2 [get_ports {ANODE[0]}] set_property IOSTANDARD LVCMOS33 [get_ports {ANODE[0]}] set_property PACKAGE_PIN U4 [get_ports {ANODE[1]}] set_property IOSTANDARD LVCMOS33 [get_ports {ANODE[1]}] set_property PACKAGE_PIN V4 [get_ports {ANODE[2]}] set_property IOSTANDARD LVCMOS33 [get_ports {ANODE[2]}] set_property PACKAGE_PIN W4 [get_ports {ANODE[3]}] set_property IOSTANDARD LVCMOS33 [get_ports {ANODE[3]}] # Clock signal set_property PACKAGE_PIN W5 [get_ports {clk}] set_property IOSTANDARD LVCMOS33 [get_ports {clk}] create_clock -add -name sys_clk_pin -period 10.00 -waveform {0 5} [get_ports clk] #Buttons set_property PACKAGE_PIN U18 [get_ports SEND] set_property IOSTANDARD LVCMOS33 [get_ports SEND] #Buttons set_property PACKAGE_PIN T17 [get_ports RESET] set_property IOSTANDARD LVCMOS33 [get_ports RESET]