Skip to content
Snippets Groups Projects
Commit d4c4fdc1 authored by Sakshi Bhosale CESM2021's avatar Sakshi Bhosale CESM2021
Browse files

Update Security_Door.vhd, security_door_constraints.xdc

parent 02a2f1a5
No related branches found
No related tags found
No related merge requests found
......@@ -21,7 +21,7 @@ entity Security_Door is
RESET : in std_logic;
DB_SEND_OUT : out std_logic;
--clock
clk : in std_logic;
......@@ -92,31 +92,6 @@ input <= A & B & C & D & E & F;
--declare password
password <= "110011";
--process(clk) is
--begin
--if rising_edge(clk) then
--case (user_in) is
--when idle =>
-- if (SEND = button_active) then
-- user_in <= waiting;
-- if (SEND = button_active) then
-- send_pressed <= true;
-- else
-- reset_pressed <= true;
-- end if;
-- else
-- --keep in idle until a button is pressed
-- user_in <= idle;
---- send_out <= 0;
---- reset_out <= 0;
-- end if;
-- when waiting =>
-- when pressed =>
--end case;
--end if;
--end process;
clock_enable_generator: entity work.clock_enable_debouncing_button PORT MAP
( clk => clk,
......@@ -143,6 +118,7 @@ Debouncing_FF2: entity work.DFF_Debouncing_Button PORT MAP
);
Q2_bar <= not Q2;
DB_SEND <= Q1 and Q2_bar;
DB_SEND_OUT <= DB_SEND;
--main
......
......@@ -19,8 +19,8 @@ set_property IOSTANDARD LVCMOS33 [get_ports {F}]
######
set_property PACKAGE_PIN L1 [get_ports {U}]
set_property IOSTANDARD LVCMOS33 [get_ports {U}]
set_property PACKAGE_PIN L1 [get_ports {DB_SEND_OUT}]
set_property IOSTANDARD LVCMOS33 [get_ports {DB_SEND_OUT}]
set_property PACKAGE_PIN P1 [get_ports {V}]
set_property IOSTANDARD LVCMOS33 [get_ports {V}]
......@@ -82,4 +82,4 @@ set_property PACKAGE_PIN U18 [get_ports SEND]
#Buttons
set_property PACKAGE_PIN T17 [get_ports RESET]
set_property IOSTANDARD LVCMOS33 [get_ports RESET]
\ No newline at end of file
set_property IOSTANDARD LVCMOS33 [get_ports RESET]
0% Loading or .
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment